证 券 研 究 报证 券 研 究 报 告告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 未经许可,禁止转载未经许可,禁止转载 行业研究行业研究 电子电子 2025 年年 08 月月 25 日日 半导体先进封装行业深度研究报告 推荐推荐(维持)(维持)AI 算力需求激增,先进封装产业加速成长算力需求激增,先进封装产业加速成长 超越摩尔定律极限,先进封装超越摩尔定律极限,先进封装成为成为高景气算力周期高景气算力周期的关键技术之一的关键技术之一。AI、大模型、数据中心等高性能场景快速演进,芯片带宽、功耗、集成密度面临“功耗墙、内存墙、成本墙”三重瓶颈,传统工艺难以支撑性能跃升。先进封装凭借小型化、高密度、低功耗、异构集成等能力,正从制造后段走向系统设计的前端。全球头部玩家加码布局先进封装技术,台积电持续扩产 CoWoS,Intel 与三星加码 Foveros 与 X-Cube 等技术平台,彰显先进封装在算力时代的重要性。AI 与智驾发展驱动与智驾发展驱动先进封装市场先进封装市场持续扩容,持续扩容,Chiplet、2.5D/3D 封装加速渗透封装加速渗透。据 Yole 统计,2024 年全球先进封装市场规模预计达 450 亿美元,占整体封装市场比重超 55%,2030 年有望升至 800 亿美元,20242030 年 CAGR 达 9.4%。从下游应用看,AI 服务器对高带宽存储与高速互联提出极致要求,HBM CoWoS 组合已成标配;汽车智能化推动车规 SoC 复杂度跃升,叠加消费电子周期复苏,助力先进封装市场持续增长。从技术升级维度看,随着应用场景算力密度不断攀升,封装形态正加速向 Chiplet 架构、2.5D 中介层与 3D堆叠等高集成方案迈进。据 Yole 预测,2.5D/3D 封装占比将由 2023 年的 27%增长至 2029 年的 40%,营收年复合增速达 18.05%,远高于行业平均增速。国产先进封装大有可为,需求国产先进封装大有可为,需求高增长与国产替代高增长与国产替代共振机遇。共振机遇。据锐观产业研究院数据,中国先进封装市场保持快速增长,2024 年市场规模预计达 698 亿元,20-24 年复合增速达 18.7%;但渗透率仅 40%,仍低于全球平均水平 55%,中长期具备显著提升空间。随着本土芯片设计产业持续演进,国内封装平台迭代动力加速释放。与此同时,台积电 CoWoS 等头部厂商产能紧张、排产周期拉长,资源进一步向 AI 等头部客户集中,部分中长尾订单存在结构性外溢,为国产平台创造导入验证窗口。与此同时,半导体产业链国产替代进程加速,政策与资本协同扶持先进封装平台建设,国内平台型厂商正站上高端工艺突破与份额提升的战略起点。台积电台积电 CoWoS 领衔领衔 AI 封装生态,封装生态,大陆大陆厂商加速布局破局。厂商加速布局破局。全球先进封装市场呈现一超多强的格局,台积电通过 CoWoS、InFO、SoIC 构建 3DFabric 平台,全面覆盖从移动终端到高性能计算的异构集成需求,稳居 AI 算力封装制高点。CoWoS 凭借先发优势绑定 NVIDIA 等 AI 芯片客户,形成强客户粘性,成为当前 AI 加速芯片封装主流方案。Intel 依托 EMIB Foveros 并行架构强化自有 IDM 体系下的高性能产品封装能力;三星通过 I-Cube 与 X-Cube 持续加码 2.5D/3D 方向,重点突破混合键合等关键瓶颈。大陆大陆厂商厂商亦在同步演进:亦在同步演进:1)长电科技长电科技布局最为全面,在 WLCSP、Fan-Out、2.5D/3D 等方向均有覆盖,已实现晶圆级封装平台产业化,具备国产领先地位;2)通富微电)通富微电积极携手 AMD等国际客户,推动 Chiplet、2.5D 平台建设,提升工艺协同能力与产品复杂度,逐步向中高端异构集成延伸;3)华天科技)华天科技构建“HMatrix”先进封装平台体系,eSinC关键技术方向力求对标 CoWoS;甬矽电子亦积极推进 Fan-out与 2.5D/3D布局;盛合晶微与晶方科技分别聚焦中段硅互联与传感器 TSV 封装路径,在细分赛道实现技术验证与规模化突破。投资逻辑:投资逻辑:AI 服务器、智能汽车等高算力场景加速发展,带动先进封装市场扩容,Chiplet、2.5D/3D 等高集成封装需求持续放量。半导体产业链国产替代进展加速,国产平台厂商迎来窗口期。建议关注具备先进工艺平台能力、客户资源导入进展显著的长电科技、通富微电、晶方科技等公司。风险提示风险提示:外部贸易环境变化风险;下游景气波动风险;技术门槛与工艺良率风险 证券分析师:岳阳证券分析师:岳阳 邮箱: 执业编号:S0360521120002 行业基本数据行业基本数据 占比%股票家数(只)483 0.06 总市值(亿元)106,625.10 9.57 流通市值(亿元)86,039.02 9.64 相对指数表现相对指数表现%1M 6M 12M 绝对表现 20.7.7.1%相对表现 14.4%3.7H.0%相关研究报告相关研究报告 半导体存储行业深度研究报告:供需双振驱动价格持续上扬,企业级存储国产化加速推进 2025-07-01 模拟芯片行业深度研究报告:需求回暖进行时,国产替代与并购整合共筑成长动能 2025-06-30 磁传感器行业深度研究报告:智能感知层核心赛道,机器人&汽车打开增量空间 2025-06-01 -5#R$/0824/1125/0125/0325/0625/082024-08-232025-08-22电子沪深300华创证券研究所华创证券研究所 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 未经许可,禁止转载未经许可,禁止转载 投资投资主题主题 报告亮点报告亮点 本篇报告围绕先进封装技术演进与产业格局变化,系统梳理 Chiplet、2.5D、3D 等高集成封装方案的核心趋势与产业链影响,结合 AI 服务器、智能汽车等高算力场景需求,深入解析先进封装从手机向数据中心、车规芯片等多元场景渗透的驱动逻辑。报告同时聚焦全球竞争格局,以台积电 CoWoS 为主线,全面拆解 Intel、三星等海外主流平台方案,并对比大陆厂商在关键技术平台建设。结合产业供需结构、国产替代大势与政策资本协同等外部变量,判断国产平台正步入技术突破与份额提升的关键战略期。投资逻辑投资逻辑 本篇报告聚焦于先进封装产业在本篇报告聚焦于先进封装产业在当前当前关键战略地位及其结构性投资机遇。报关键战略地位及其结构性投资机遇。报告逻辑共分四大部分:告逻辑共分四大部分:1)先进封装先进封装是是支撑支撑 AI、大模型、数据中心等高算力应用的关键路径、大模型、数据中心等高算力应用的关键路径。随着芯片系统集成复杂度提升,“功耗墙、内存墙、成本墙”三重瓶颈凸显,传统制程难以独立支撑性能演进,先进封装凭借异构集成、高密度互联优势,正从制造后段走向系统设计前端,成为架构革新核心抓手。2)梳理先进封装的梳理先进封装的市场市场扩张路径与主流技术演进趋势扩张路径与主流技术演进趋势。报告指出,全球先进封装市场保持高增长,Yole预计 2030 年将达 800 亿美元。Chiplet、2.5D/3D 封装正在替代传统平面工艺成为主流,驱动来自 AI 服务器、车载 SoC、可穿戴等多场景。3)提出国产先进封装面临窗口期机遇。提出国产先进封装面临窗口期机遇。海外产能紧张、订单外溢叠加国产替代战略大势,政策资本协同投入下,国产厂商迎来客户导入与技术平台建设的战略起点,投资价值逐步显现。4)分析当前全球先进封装的技术平台与竞争分析当前全球先进封装的技术平台与竞争格局格局。台积电以 CoWoS 为代表的 3D Fabric 平台形成先发优势,海外龙头Intel 与三星亦加速布局;同时,中国企业如长电、通富、华天、甬矽、盛合晶微、晶方等厂商在晶圆级封装、2.5D/3D 等环节持续技术追赶与平台建设。先进封装正向 AI 服务器、智能汽车等高景气度场景渗透,Chiplet、2.5D/3D等高集成封装需求持续放量。半导体产业链国产替代进展加速,国产平台厂商迎来窗口期。建议关注具备先进工艺平台能力、客户资源建议关注具备先进工艺平台能力、客户资源丰富、丰富、导入进展导入进展顺利顺利的长电科技、通富微电、的长电科技、通富微电、晶方科技晶方科技等公司。等公司。XVUYwPzQnNoPzRmOuNrRsRbRcMbRmOqQtRsPeRnNyQkPsQtN7NpOoNNZpMmNwMsPqM 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 3 目目 录录 一、一、先进封装是算力时代的关键,技术体系持续迭代升级先进封装是算力时代的关键,技术体系持续迭代升级.6(一)算力需求激增,先进封装崛起为性能提升提供新引擎.6(二)封装结构演进路径:异构集成与 Chiplet 架构趋势成为产业发展焦点.8(三)核心工艺驱动技术突破,助力先进封装高密度集成加速.11 二、二、全球先进封装市场持续扩容,工艺升级不断驱动产业发展全球先进封装市场持续扩容,工艺升级不断驱动产业发展.12(一)全球先进封装市场不断增长,2.5D/3D 等高集成技术促进结构升级.12(二)下游需求多点开花,AI、汽车电子与智能终端成为主要增量来源.13 1、HBM CoWoS 成为 AI 算力芯片标配,驱动先进封装市场高速增长.13 2、汽车电动化 智能化双轮驱动,打开先进封装蓝海市场.15 3、消费电子市场回暖与可穿戴设备放量,2D 主流封装需求稳步增长.17 三、三、下游应用高成长叠加国产替代需求,国产先进封装大有可为下游应用高成长叠加国产替代需求,国产先进封装大有可为.19(一)中国先进封装市场渗透空间广阔,国产 OSAT 龙头加速布局.19(二)产业供需结构错配与政策支持叠加,国产先进封装产业迎来发展机遇.20 四、四、先进封装市场群雄逐鹿,先进封装市场群雄逐鹿,IDM、Fab、OSAT 玩家并存玩家并存.22(一)全球龙头各有所长,台积电加码自研工艺平台领跑全球.23(二)本土 OSAT 封测厂具备优势,不断拓宽先进封装平台布局.28 五、五、相关标的相关标的.32(一)长电科技:国内封测龙头,全面布局先进封装加速成长.32(二)通富微电:汽车电子业务高速增长,与 AMD 持续深化合作.32(三)晶方科技:汽车 CIS 驱动高增长,持续推进全球化布局.33 六、六、风险提示风险提示.34 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 4 图表目录图表目录 图表 1 半导体的封装的作用及等级示意.6 图表 2 半导体封装发展历史.6 图表 3 内存访问速度与 AI 模型参数不匹配造成“内存墙”.7 图表 4 28nm-5nm 制程开发成本(亿美元).7 图表 5 2023 年头部半导体厂商先进封装资本支出情况.7 图表 6 2018-2023 年全球算力规模及增速.7 图表 7 先进封装的两个技术发展方向.8 图表 8 Fan-in/Fan-out 晶圆级封装工序.9 图表 9 传统封装与先进封装技术示意图.9 图表 10 Chiplet 技术示意图.10 图表 11 SiP/2.5D/3D/Chiplet 结构.10 图表 12 基于 7nm 工艺的传统整体方案及 Chiplet 方案制造成本对比.10 图表 13 全球 2022-2030E 先进封测市场规模及增速.12 图表 14 2014-2024 年全球先进封装市场结构变化.12 图表 15 2023 年 vs 2029 年先进封装技术收入结构.12 图表 16 2020-2030 年按技术划分的高端性能封装收入结构.13 图表 17 大语言模型参数规模的爆炸式增长.14 图表 18 GDDR5 及 HBM 结构对比及 GPU HBM 架构示意.14 图表 19 部分主流 AI 芯片 HBM 配置情况.15 图表 20 2022-2028E 全球 AI 服务器出货量情况.15 图表 21 2020-2025E 全球 HBM 市场增长情况.15 图表 22 汽车电动化智能化接续发力.16 图表 23 2024 年中国新能源车销量占比超 4 成.16 图表 24 2024 年全球新能源车渗透率为 18%(万辆).16 图表 25 智能汽车芯片用量大幅增长(颗).17 图表 26 自动驾驶等级每提高一级,算力就增加一个数量级.17 图表 27 2014-2014 年全球智能手机出货量.18 图表 28 22Q1-25Q2 全球 PC 端出货量.18 图表 29 2019-2029E 全球智能眼镜市场规模(百万美元).18 图表 30 2020-2025E 中国先进封装市场规模(亿元).19 图表 31 2020-2025E 全球及中国先进封装渗透率情况.19 图表 32 2023-2024 年全球 OSTA 厂商市占率情况.20 图表 33 国内主要封测厂资本支出(亿元).20 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 5 图表 34 国内主要封测厂资本支出占营收比例.20 图表 35 2022 年全球先进封装厂商市占率情况.22 图表 36 2024 年全球 OSAT 厂商市占率情况.22 图表 37 全球先进封装厂商技术布局.22 图表 38 CoWoS 关键优势与技术内涵.23 图表 39 台积电 CoWoS-S/R/L 技术结构.24 图表 40 CoWoS 6 次迭代围绕扩大中介层尺寸与提升可集成 HBM 容量.24 图表 41 台积电集成扇出型(InFO)晶圆级封装.25 图表 42 台积电 SoIC 可实现高密度芯片间互连.25 图表 43 台积电 SoIC WoW 晶圆堆叠工艺.25 图表 44 英特尔封装技术路线.26 图表 45 英特尔 EMIB 和 Foveros 先进封装结构.27 图表 46 三星电子 I-CUBE 及 X-CUBE 封装结构.27 图表 47 长电科技先进封装产品矩阵.28 图表 48 通富产品在 AMD 的导入情况.29 图表 49 华天科技先进封装技术平台-HMatrix.30 图表 50 盛合晶微 SmartPoserTM 三维多芯片集成加工技术平台.30 图表 51 甬矽电子封装产品范围.31 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 6 一、一、先进封装先进封装是是算力时代算力时代的关键的关键,技术体系持续,技术体系持续迭代升级迭代升级(一)(一)算力算力需求激增需求激增,先进封装崛起为性能,先进封装崛起为性能提升提供提升提供新引擎新引擎 封装是半导体产业链的关键环节,负责实现芯片与外部电路之间的电气连接与机械保护。封装是半导体产业链的关键环节,负责实现芯片与外部电路之间的电气连接与机械保护。半导体封装是集成电路制造的关键环节,负责实现芯片与外部电路之间的电气连接与机械保护,并有效进行热管理,确保芯片在复杂工作环境下的可靠运行。传统封装技术,如引线键合,通过将芯片固定在基板上并连接引脚,满足了早期集成电路的基本需求。然而,随着摩尔定律的持续推进,芯片特征尺寸不断缩小,集成度呈几何级数增长,传统封装在 I/O 密度、功耗、信号传输速度以及尺寸微缩方面的瓶颈日益凸显。先进封装始终是动态演进的技术门槛,封装技术已历经五个主要发展阶段。先进封装始终是动态演进的技术门槛,封装技术已历经五个主要发展阶段。先进封装本质在于在互连密度、功耗、厚度或异构集成能力等核心指标上实现突破,推动行业技术代际升级。根据中国半导体封装业的发展,全球集成电路封装技术已历经五个主要发展阶段。当前,行业正向以台积电 CoWoS 为代表的 2.5D/3D 更高阶集成封装技术迈进。图表图表 1 半导体的封装的作用及等级示意半导体的封装的作用及等级示意 图表图表 2 半导体封装发展历史半导体封装发展历史 资料来源:芯存社、转引自芯语 资料来源:中国半导体封装业的发展,转引自甬矽电子招股说明书,半导体产业纵横、转引自芯语,华创证券 先进封装既是应对后摩尔时代挑战的关键,也是驱动新一轮行业格局变革的核心动力。先进封装既是应对后摩尔时代挑战的关键,也是驱动新一轮行业格局变革的核心动力。摩尔定律减速暴露摩尔定律减速暴露“功耗墙、内存墙、成本墙功耗墙、内存墙、成本墙”三重瓶颈,封装三重瓶颈,封装技术突破成为突破口技术突破成为突破口。国际半导体技术路线图(ITRS)指出,集成电路技术会沿 More Moore(延续摩尔定律)和More than Moore(拓展摩尔定律)两个方向发展。过去几十年,摩尔定律推动集成电路特征尺寸持续缩小,每两年晶体管密度翻番,带动芯片算力同步提升,但 2015 年以后,集成电路制程的发展进入了瓶颈,7nm、5nm、3nm 制程的量产进度均落后于预期。随着台积电宣布 2nm 制程工艺实现突破,集成电路制程工艺已接近物理尺寸的极限,集成电路行业进入了“后摩尔时代”。1)在 0.13um 节点后,漏电流激增、电压缩放受限,Dennard Scaling 效应失效,芯片功耗难以有效抑制,散热压力成为性能进一步提升的主要障碍,“功耗墙”问题日益突出。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 7 2)算力需求飙升,处理器性能与内存带宽差距不断扩大,AI 等高带宽场景下“内存墙”成为系统效能短板。3)先进制程开发成本激增,根据甬矽电子招股说明书援引 IC Insights,5nm 芯片研发费用高达 5.4 亿美元,已是 28nm 的 10 倍,“成本墙”极大抬升了性能提升的边际代价。上述多重制约,使单纯依靠制程微缩的摩尔路径渐趋极限,迫使产业链向封装等新型集成技术寻求突破。图表图表 3 内存访问速度与内存访问速度与 AI 模型参数不匹配造成“内模型参数不匹配造成“内存墙”存墙”图表图表 4 28nm-5nm 制程开发成本制程开发成本(亿美元)(亿美元)资料来源:Ayar Labs,转引自电子产品世界 资料来源:IC Insights、转引自甬矽电子招股说明书,华创证券 封装封装环节环节从“后工序”变成“性能发动机”从“后工序”变成“性能发动机”,晶圆厂与,晶圆厂与 OSAT 共共同同推进技术突破推进技术突破。制程微缩红利减弱,先进封装已经不只是“装”,而是“参与芯片架构设计”的一部分,通过多芯片集成、高密度互连、异构封装等方式,实现功能模块的灵活组合及性能提升,降低能耗与成本。因此全球半导体产业链分工格局正在重塑,根据 Yole 的数据,2023 年先进封装领域资本开支为 99 亿美元,主要来自台积电、英特尔、三星、SK 海力士等半导体大厂,并预计 2024 年先进封装领域资本开支或增加到 115 亿美元。先进封装已占 IDM/晶圆厂 2023 年资本开支的 9%,约占头部 OSAT 的 41%。晶圆厂与 OSAT 均在加快向高端封装布局,推动产业链价值重心前移。图表图表 5 2023 年头部半导体厂商先进封装资本支出情况年头部半导体厂商先进封装资本支出情况 图表图表 6 2018-2023 年全球算力规模及增速年全球算力规模及增速 资料来源:YoleAdvanced Packaging Market Monitor Q1 2024-Broadcast 资料来源:中国信息通信研究院、IDC、Gartner、TOP500,转引自中国信息通信研究院先进计算暨算力发展指数蓝皮书(2024年)0123456728nm16nm7nm5nm 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 8 AI 大模型及高算力应用大模型及高算力应用推升推升算力需求,催生先进封装市场爆发式扩容。算力需求,催生先进封装市场爆发式扩容。尤其是生成式 AI 带来的指数级算力需求,Deloitte2025 global semiconductor industry outlook 预计 2025 年生成式 AI 芯片销售额将突破 1500 亿美元,占半导体总收入逾 20%。经中国信通院先进计算暨算力发展指数蓝皮书(2024 年)测算,2023 年全球计算设备算力总规模为1397EFlops,增速达54%,其中基础算力规模为497 EFlops;智能算力规模为875EFlops,占总算力比例达到 63%,同比增加 13 个百分点;超算算力规模为 25EFlops。与此同时,台积电在业绩会上披露,25 年将持续大力扩产,目标 CoWoS 产能翻倍,但 2026 年前供给或仍难追上 hyperscaler 与 GPU 厂商的排产需求,将先进封装从成本中心推向为价值中心。(二)(二)封装结构演进路径封装结构演进路径:异构集成与异构集成与 Chiplet 架构趋势成为架构趋势成为产业发展产业发展焦点焦点 近年来,先进封装的技术发展方向主要朝两个领域发展:近年来,先进封装的技术发展方向主要朝两个领域发展:制程上探制程上探晶圆制程领域:晶圆制程领域:属于以单一功能芯片的高密度互联和电气性能优化为核心的同构集成同构集成结构。在晶圆级封装(WLP)基础上不断发展,为了在更小的封装面积下容纳更多引脚,利用晶圆上制作凸点工艺(Bumping)、晶圆重构工艺、硅通孔技术(TSV)、晶圆扇出技术(Fan-out)、晶圆扇入技术(Fan-in)等技术。系统下沉系统下沉集成集成模组领域:模组领域:以系统级封装(SiP)为代表,包括采用了 FC、2.5D、3D 等技术的系统级封装产品,将以前分散贴装在 PCB 板上的多种功能芯片集成为一颗芯片,压缩模块体积、缩短电气连接距离以提升芯片系统功能,代表侧重异构异构集成集成的发展方向。图表图表 7 先进封装的两个技术发展方向先进封装的两个技术发展方向 发展方向发展方向 相关说明相关说明 代表性技术代表性技术 向上游晶圆制程领域发向上游晶圆制程领域发展(晶圆级封装)展(晶圆级封装)为了在更小的封装面积下容纳更多的引脚,先进封装向晶圆制程领域发展,直接在晶圆上实施封装工艺,通过晶圆重构技术在晶圆上完成重布线并通过晶圆凸点工艺形成与外部互联的金属凸点。晶圆上制作凸点工艺(Bumping)、晶圆重构工艺、硅通孔技术(TSV)、晶圆扇出技术(Fan-out)、晶圆扇入技术(Fan-in)等。向下游模组领域发展向下游模组领域发展(系统级封装)(系统级封装)将以前分散贴装在 PCB 板上的多种功能芯片,包括处理器、存储器等功能芯片以及电容、电阻等元器件集成为一颗芯片,压缩模块体积,缩短电气连接距离,提升芯片系统整体功能性和灵活性。系统级封装技术(SiP),包括采用了倒装技术(Flip-Clip)、2.5D、3D 等技术的系统级封装产品。资料来源:甬矽电子招股书,华创证券 主流先进封装结构在集成形式和工艺复杂度等方面各具技术优势,面向不同应用场景各主流先进封装结构在集成形式和工艺复杂度等方面各具技术优势,面向不同应用场景各具优势具优势:Flip-Chip(倒装封装):(倒装封装):通过将芯片翻转,使 I/O 焊球直接与基板连接,取代传统引线键合方式,大幅提升互联密度、散热效率和信号传输速度,具备更优的电气性能。该结构支持多芯片集成和紧凑排布,兼具集成度和成本优势,是最早大规模商用的先进封装形式之一,主要用于 CPU、智能手机和射频 SiP 解决方案。晶圆级封装(晶圆级封装(WLP/Fan-Out):):WLP 以整片晶圆为单位进行封装加工,与 FC 相比,WLP 的芯片与 PCB 之间没有基板,而是重布线层 RDL,封装尺寸接近芯片本体,具备小型化、低成本等优势。目前 WLP 分为扇入型(WLCSP)和扇出型(FO),两者差异为 RDL 布线是否可以向外,Fan-Out 通过重构晶圆边界区域并进行重新布线,使 I/O 数目超出芯片本身限制,有效提升引脚密度与散热性能。广泛应用于对尺 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 9 寸敏感、集成度要求高的领域,包括手机 AP/PMIC、射频前端、消费电子处理器等。图表图表 8 Fan-in/Fan-out 晶圆级封装工序晶圆级封装工序 资料来源:艾邦半导体网,华创证券 2.5D 封装(硅中介层集成):封装(硅中介层集成):2.5D 将处理器、存储等若干个芯片并列排布在中介层(Interposer)上,利用 RDL、硅桥、硅通孔(TSV)等技术实现更高密度的互联。2.5D 具备更高的集成密度和更优异的热管理能力,适合高算力与高带宽需求场景。例如台积电 CoWoS 系列即采用 2.5D 封装,为 FPGA、GPU 等高性能产品集成提供解决方案。3D 封装(硅通孔垂直堆叠):封装(硅通孔垂直堆叠):3D 封装利用硅通孔(TSV)等垂直互联技术,将多个芯片堆叠贯穿,并直接与基板相连。该结构可有效降低封装面积与功耗,支持内存、逻辑芯片的高密度集成,是提升带宽密度与容量的关键技术路径。该技术最早在CMOS 图像传感器中应用,目前可用于 DDR、HBM 等存储芯片封装及部分 3D 逻辑芯片等领域,技术难度最高。图表图表 9 传统封装与先进封装技术示意图传统封装与先进封装技术示意图 资料来源:McKinseyAdvanced chip packaging:How manufacturers can play to win,华创证券 随着芯片复杂性与成本压力提升,随着芯片复杂性与成本压力提升,Chiplet 架构逐渐成为异构集成和系统级平台化发展的架构逐渐成为异构集成和系统级平台化发展的关键方向。关键方向。Chiplet 是在多芯片模组(MCM)基础上发展出的新型封装架构,将单一复杂芯片拆分为多个小型、独立且可复用的芯粒单元,并利用 Flip-Chip、2.5D 或 3D 等先进封装技术实现不同工艺、材料和功能芯片的灵活组合。相比传统单芯片 SoC 方案,Chiplet方案在良率、性能和成本方面优势明显:一是小芯粒提升晶圆良率,降低生产风险;二是多芯片分布式架构满足高效能计算和扩展需求;三是异构芯片的灵活集成提升设计灵1950传统封装传统封装利用焊线将芯片与PCB板连接1995倒装封装倒装封装利用凸块(Bump)与IC基板连接2000晶圆级封装晶圆级封装利用重布线层(RDL)在晶圆上封装20102.5D利用RDL、硅桥、硅通孔(TSV)等,通过中介层间接附着在封装基板上20153D使用TSV或铜等连接材料,纵向堆叠先进封装先进封装 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 10 活性,有效控制成本。图表图表 10 Chiplet 技术示意图技术示意图 图表图表 11 SiP/2.5D/3D/Chiplet 结构结构 资料来源:蒋剑飞等Chiplet技术研究与展望 资料来源:elecfans 图表图表 12 基于基于 7nm 工艺的传统整体方案及工艺的传统整体方案及 Chiplet 方案制造成本对比方案制造成本对比 项目项目 传统整体方案传统整体方案 Chiplet 差异差异 晶圆成本(均基于 7nm)$9,350$9,350 1x 合计裸片尺寸(mm)600 660 1.1x 单一裸片尺寸 600 165 裸片数量/晶圆 96 387 缺陷率(每 cm)20 %1x 有效区域 80%1x 预计良率预计良率 43x%1.81x 每片晶圆净裸片数量 42 300 单一裸片成本$224$31 合计裸片成本$224$124 合计测试费用$10$12 1.2x 封装费用$160$200 1.25x 封装损失 1%4%4x 合计制造成本合计制造成本$398$347 0.87x 资料来源:Linley GwennapChiplets Gain Rapid Adoption:Why Big Chips Are Getting Small,华创证券 Chiplet 方案方案 CoWoS 封装,已成为高端算力芯片主流配置。封装,已成为高端算力芯片主流配置。Chiplet 架构以其灵活的异构集成能力和系统扩展性,逐渐成为高端算力芯片的主流方案。在实际落地过程中,以台积电 CoWoS(Chip-on-Wafer-on-Substrate)为代表的 2.5D 封装平台,为多颗逻辑芯粒与高带宽存储(HBM)之间的超高密度互联提供了成熟的工艺路径。据 Trendforce 信息,当前,英伟达、AMD 等的先进 AI 芯片均基于 CoWoS 平台实现落地量产。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 11 (三)(三)核心工艺驱动技术突破,助力先进封装高密度集成加速核心工艺驱动技术突破,助力先进封装高密度集成加速 先进封装高密度集成的实现,依赖于一系列高精度工艺的持续突破。先进封装高密度集成的实现,依赖于一系列高精度工艺的持续突破。核心工艺不仅决定了封装的 I/O 密度、系统性能和良率水平,也是产业链突围和价值提升的关键所在。凸块工艺(凸块工艺(Bumping):是实现芯片与基板、电路间高密度互联的基础。):是实现芯片与基板、电路间高密度互联的基础。该工艺通过在芯片表面形成微小金属焊球,为 Flip-Chip 等多种先进封装提供了物理与电气连接点。工艺难点在于焊球阵列的一致性、共面度及尺寸精度,对设备和材料提出极高要求。随着封装密度提升,凸块工艺的制程能力直接影响整体性能。重布线层(重布线层(RDL):提升引脚数量和信号灵活性的核心环节。):提升引脚数量和信号灵活性的核心环节。RDL 通过在芯片表面重新布线,将 I/O 信号从原有分布引至更大面积或指定位置,有效突破传统封装 I/O瓶颈。高阶 RDL 需实现更细线宽与多层堆叠,考验光刻、蚀刻、镀膜等核心工艺能力。当前高端 RDL 设备和核心材料仍由美日企业主导,国产厂商亟需攻关突破。硅通孔(硅通孔(TSV):支撑):支撑 3D 封装及高带宽堆叠封装及高带宽堆叠集成。集成。TSV 通过在硅片内垂直刻蚀微孔并金属填充,实现芯片间的高速垂直互联。关键工艺包括深硅刻蚀(DRIE)、铜填充、孔隙控制与后续表面抛光。工艺难点在于高深宽比、孔径均匀性及填充致密度,对设备和工艺参数协同要求极高。TSV 已成为 HBM、3D NAND 等产品高密度集成的基础,但其量产良率和成本优化仍高度依赖设备材料的持续迭代。混合键合(混合键合(Hybrid Bonding):重塑芯片级互联密度极限。):重塑芯片级互联密度极限。混合键合通过实现金属-金属与介质-介质原子级直接结合,大幅缩短信号路径、降低互联电阻,实现超高密度芯片集成。该工艺要求极致的表面平整度和纳米级对准精度,涵盖清洗、CMP、超精密键合等全流程工艺设备协同。当前全球仅少数企业掌握混合键合量产工艺,成为 Chiplet、3D 集成等新型高端封装的核心壁垒。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 12 二、二、全球先进封装市场持续扩容,工艺升级不断驱动产业发展全球先进封装市场持续扩容,工艺升级不断驱动产业发展(一)(一)全球先进封装市场不断增长,全球先进封装市场不断增长,2.5D/3D 等高集成技术等高集成技术促进促进结构升级结构升级 全球先进封装市场步入高景气通道,市场规模占据封测行业半壁江山。全球先进封装市场步入高景气通道,市场规模占据封测行业半壁江山。据 Yole 及中商产业研究院数据测算,2024 年全球先进封装市场规模约为 450 亿美元,占全球半导体封装市场总额的 55%左右。随着 AI、高性能计算、5G、汽车电子等下游需求拉动,Yole 预计到 2030 年全球先进封装市场规模将增长至约 800 亿美元,2024-2030 年复合年增长率达到 9.4%,成为推动半导体行业价值升级的核心环节。图表图表 13 全球全球 2022-2030E 先进封测市场规模及增速先进封测市场规模及增速 图表图表 14 2014-2024 年全球先进封装市场结构变化年全球先进封装市场结构变化 资料来源:YoleAI fuels the future of advanced packaging 资料来源:YoleAI fuels the future of advanced packaging,中商产业研究院,集微咨询,转引自深圳半导体行业协会2022年中国集成电路封测行业发展白皮书,华创证券 先进封装向系统集成、高速、高频、三维方向发展,先进封装向系统集成、高速、高频、三维方向发展,2.5D/3D 技术份额快速增长。技术份额快速增长。根据Yole,从技术结构看,2023 年,Flip-Chip 是先进封装最大细分领域,市占率约 44%。受AI 与高端算力芯片需求驱动,2.5D/3D 封装技术份额快速提升。Yole 预计,2.5D/3D 封装占比有望从 2023 年的 27%上升至 2029 年的 40%,营收年均复合增速高达 18.05%,远超整体行业水平,成为市场结构升级的关键动力。整体来看,全球先进封装市场正由传统 Flip-Chip 主导,逐步迈向以 2.5D/3D 和 Chiplet 为代表的高集成度、异构架构发展阶段。38.09.4A.2A.4B.1E.6E.0AEVU%0 0 14 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024先进封装占比传统封装占比图表图表 15 2023 年年 vs 2029 年先进封装技术收入结构年先进封装技术收入结构 资料来源:YoleAn emerging player makes a promising entry into Europe An interview with Silicon Box 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 13 高带宽高带宽/高互连技术成为增量核心高互连技术成为增量核心,高端性能封装高端性能封装 2030 年规模接近年规模接近 285 亿美元。亿美元。据 Yole的高端性能封装拆分显示,2024 年后市场进入快车道,2024-2030 年间的复合年增长率将达 23%。从结构看,Active Si Interposer、HBM 与 3D NAND Stack 等技术贡献度持续抬升。其中,Active Si Interposer 受益于数据中心 AI 加速卡与 Chiplet 架构渗透,HBM由 AI 训练和高性能计算对高带宽需求驱动,3D NAND Stack 则依托智能终端与服务器对高密度存储的需求持续放量。图表图表 16 2020-2030 年按技术划分的高端性能封装年按技术划分的高端性能封装收入结构收入结构 资料来源:YoleAI fuels the future of advanced packaging,华创证券(二)(二)下游需求多点开花,下游需求多点开花,AI、汽车电子与智能终端成为主要增量来源、汽车电子与智能终端成为主要增量来源 当前,先进封装市场的增长动力呈现出“AI、汽车电子孕育新机遇”的结构性特征。1、HBM CoWoS 成为成为 AI 算力芯片标配,算力芯片标配,驱动先进封装市场驱动先进封装市场高速增长高速增长 AI 大模型与高性能计算的崛起,使服务器对带宽与存储提出极致需求。大模型与高性能计算的崛起,使服务器对带宽与存储提出极致需求。随着 ChatGPT 等生成式 AI 加速落地,大模型训练参数规模迅速从数十亿级跃升至万亿级。据华尔街见闻援引 SemiAnalysis 数据,GPT-4 训练所用 token 规模达到 13 万亿,单次训练成本高达6300 万美元。如此大规模模型训练涉及 TB 级数据并行处理,服务器对算力、内存带宽、延迟和功耗的要求大幅提升。此外,AI 推理环节同样面临高数据吞吐、低延迟的严苛考验。传统的服务器架构采用 CPU/GPU 配合 DDR 内存的方案,在带宽密度、数据吞吐能力上已无法支撑大规模 AI 场景的极致需求,迫切需要更高性能、更低延迟的存储解决方案。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 14 图表图表 17 大语言模型参数规模的爆炸式增长大语言模型参数规模的爆炸式增长 资料来源:Davis McCandless,Tom Evans,Paul Barton Information is Beautiful,转引自Medium HBM 存储以高带宽、高密度和低功耗优势,成为存储以高带宽、高密度和低功耗优势,成为 AI 服务器的标准配置。服务器的标准配置。HBM 采用先进 3D 堆叠封装技术,通过硅通孔(TSV)垂直堆叠多颗 DRAM 芯片,并在底部集成逻辑芯片调度信号,在极小的面积上实现超高的数据吞吐,单颗带宽可超 1TB/s,较传统GDDR6 提升 5 倍。物理布局上,HBM 堆叠体通常直接位于高性能逻辑芯片(如 GPU、AI 加速器)侧边,通过宽总线接口与主芯片连接。“近距离、宽总线”架构极大缩短信号传输路径、降低延迟并提升能效,成为 AI 服务器大规模部署的核心基础。图表图表 18 GDDR5 及及 HBM 结构对比及结构对比及 GPU HBM 架构示意架构示意 资料来源:AMD,转引自ARM community 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 15 图表图表 19 部分主流部分主流 AI 芯片芯片 HBM 配置情况配置情况 公司公司 产品型号产品型号 类型类型 制程制程 HBM 配置配置 NVIDIA A100 GPU 7nm HBM2 NVIDIA H200 GPU 3nm HBM3e AMD MI300X APU 5nm HBM3 AMD M1300A APU 5hml HBM3 英特尔 Ponte Vecchio GPU 10nm HBM2e 华为 昇腾 910 NPU 7nm HBM2 谷歌 Ironwood TPU 未知 HBM3 资料来源:半导体产业纵横,华创证券 AI 服务器市场高增长带动服务器市场高增长带动 HBM 渗透率迅速提升渗透率迅速提升,2030 年年渗透率渗透率有望达有望达 50%。据TrendForce 数据,2024 年全球 AI 服务器出货量预计达到 172.3 万台,同比增长 46%,占整体服务器出货量的 12.6%;预计 2028 年将进一步提升至 20%左右,2023-2028 年出货量复合增长率达 24%。在 AI 服务器市场,中高端 GPU(如英伟达 H200/B200/B300、AMD MI 系列)几乎全部标配 HBM,渗透率接近 100%。随着 AIGC 模型复杂度提升,推理端对高带宽内存的需求同样加速释放,进一步推动 HBM 需求持续增长。据芯语转引 Yole预测,全球 HBM 收入将从 2024 年的 170 亿美元增长至 2030 年的 980 亿美元,年复合增速达 33%;在 DRAM 市场的收入份额占比也将从 18%升至 50%。图表图表 20 2022-2028E 全球全球 AI 服务器出货量情况服务器出货量情况 图表图表 21 2020-2025E 全球全球 HBM 市场增长情况市场增长情况 资料来源:Trendforce,转引自北美智权报,工业富联,华创证券 资料来源:Yole,转引自芯语 AI 算力需求爆发推动算力需求爆发推动 2.5D/CoWoS 等高端工艺产能持续扩张等高端工艺产能持续扩张,成为先进封装市场增量的成为先进封装市场增量的核心来源。核心来源。HBM 的技术突破不仅源于自身工艺升级,更依赖于 2.5D 先进封装中硅中介层的精密布线能力。以台积电 CoWoS 平台为例,可在 1 平方厘米内布设超过 10 万微凸点,实现 GPU/AI 处理器与 HBM 间的超高密度互联,将芯片间物理距离缩短至微米级,显著提升系统带宽与能效。AI 服务器高景气将直接带动 CoWoS 产能扩张和设备投资,成为驱动先进封装市场高增长的重要动能。2、汽车电动化汽车电动化 智能化双轮驱动,智能化双轮驱动,打开先进封装蓝海打开先进封装蓝海市场市场 全球新能源汽车渗透率持续提升,我国新能源汽车产业发展领跑全球。全球新能源汽车渗透率持续提升,我国新能源汽车产业发展领跑全球。近年我国新能源汽车发展迈入快车道,2024 年中国新能源汽车销量近 1287 万台,渗透率达到 40.9%;据上海证券报援引中国电动汽车百人会预测,乐观估计 2025 年我国新能源车内需有望达到0%5 %05EP01001502002503003504002022202320242025E2028E全球AI服务器出货量(万台)YoY 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 16 1500 万辆,渗透率将会超过 55%。根据乘联会分会数据,2024 年全球新能源车销量达到1603 万辆,渗透率仅为 18%,未来有望渗透率有望不断提升,带动配套产业链稳步增长。图表图表 22 汽车电动化智能化接续发力汽车电动化智能化接续发力 资料来源:百度Apollo百度智能驾驶开发白皮书1.0 图表图表 23 2024 年中国新能源车销量占比超年中国新能源车销量占比超 4 成成 图表图表 24 2024 年全球新能源车渗透率为年全球新能源车渗透率为 18%(万辆)(万辆)资料来源:中国汽车工业协会,华创证券 资料来源:乘联会分会公众号,华创证券 汽车智能化汽车智能化升级不断升级不断推进,推进,带动单车带动单车芯片芯片用量用量显著显著提升提升。据半导体产业纵横测算,传统燃油车所需芯片数量约为 600 至 700 颗,电动车因三电系统和电子控制单元增加,芯片用量提升至 1600 颗左右;而智能汽车在感知、决策、执行等系统中的计算与传感需求更为密集,单车芯片数量突破 3000 颗,较电动车时代再次实现接近翻倍增长,芯片单车价值量随之提升,汽车电子已成为半导体市场需求增长的重要下游之一。0%5 %05E00400600800100012001400201920202021202220232024销量(万台)渗透率0%2%4%6%8 ,0002,0003,0004,0005,0006,0007,0008,0009,00010,00020202021202220232024全球汽车销量新能源车销量新能源车占比 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 17 图表图表 25 智能汽车芯片用量大幅增长(颗)智能汽车芯片用量大幅增长(颗)资料来源:半导体产业纵横公众号,华创证券 自动驾驶等级提升,显著推高车规芯片对高算力与高可靠封装的双重要求。自动驾驶等级提升,显著推高车规芯片对高算力与高可靠封装的双重要求。随着自动驾驶向 L5 级进阶,芯片系统对算力需求呈指数级增长。高阶自动驾驶要求汽车承担更多决策控制功能,对环境感知、高精度定位、路径规划、执行控制等关键环节提出极高的实时性、准确性和容错性要求。例如域控制器芯片,需融合大量传感器数据、运行复杂 AI推理及决策算法,确保在任何工况下无故障运行,封装环节也被赋予更高的质量标准。图表图表 26 自动驾驶等级每提高一级,算力就增加一个数量级自动驾驶等级每提高一级,算力就增加一个数量级 资料来源:地平线,转引自芯语 高算力与高可靠性并重,先进封装正加速在车规芯片领域渗透应用。高算力与高可靠性并重,先进封装正加速在车规芯片领域渗透应用。SiP(系统级封装)、Chiplet 异构集成等先进封装技术,能够实现多功能芯片在单一封装体内的高效协同,大幅提升系统性能和安全冗余,同时降低互连损耗、优化热管理。当前,英飞凌、恩智浦及国内比亚迪半导体等主流车规芯片企业正加速导入先进封装方案,为自动驾驶和新能源汽车产业升级提供坚实技术支撑,也将成为先进封装市场的重要增长引擎。3、消费电子市场回暖与可穿戴设备放量,消费电子市场回暖与可穿戴设备放量,2D 主流封装需求稳步增长主流封装需求稳步增长 消费电子市场企稳回暖,消费电子市场企稳回暖,2D 先进封装存量板块稳定增长先进封装存量板块稳定增长。2024 年以来,随着渠道库存压力缓解,智能手机、平板、笔记本等主流产品销量逐步企稳回升。Canalys 数据显示,2024年全球智能手机出货量增长 7%至 12.2 亿部,结束两年下滑趋势。PC 端由于商用 PC 部署及日本市场需求拉动,2025 年二季度全球 PC 终端同比增长 7.4%至 6760 万台。另外,Windows 10 支持终止将在今年剩余时间内带来增长势头。WLP(尤其是 FOWLP)等轻薄化、散热性能优良、性价比高的先进封装技术被消费终端产品广泛采用,全球消费电子市场趋于稳健,为先进封装市场提供了坚实“基本盘”。0500100015002000250030003500燃油车电动车智能汽车燃油车电动车智能汽车1.46x0.88x 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 18 图表图表 27 2014-2014 年全球智能手机出货量年全球智能手机出货量 图表图表 28 22Q1-25Q2 全球全球 PC 端出货量端出货量 资料来源:Canalys 资料来源:Canalys AI 终端市场加速成长,为终端市场加速成长,为相关相关先进封装市场打开新一轮增量空间。先进封装市场打开新一轮增量空间。随着 AI 大模型商业化应用加快,全球主要厂商持续加大对 AI 手机、AI PC 和可穿戴设备的布局。以 AI Agent为代表的智能终端正拓展多元“AI ”应用场景。通富微电公告援引 IDC 预测,2024-2027年全球 AI 手机出货量将由 0.4 亿台增至 1.5 亿台,渗透率跃升至 51.9%。同时,AI 眼镜、AI 耳机等可穿戴新品类集成摄像头、传感器及 AI 算法,在翻译、导航、健康等多场景加速渗透。Technavio 预计,2024-2029 年全球智能眼镜市场新增规模将达 9060 万美元,年复合增速高达 14.5%。整体来看,AI 终端市场快速扩容,有望持续带动 WLP、Flip-Chip等高密度先进封装用量,成为消费电子相关市场新的结构性增量引擎。图表图表 29 2019-2029E 全球智能眼镜市场规模(百万美元)全球智能眼镜市场规模(百万美元)资料来源:technavio 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 19 三、三、下游应用下游应用高成长高成长叠加国产替代需求,国产先进封装大有可为叠加国产替代需求,国产先进封装大有可为(一)(一)中国先进封装市场渗透空间广阔,国产中国先进封装市场渗透空间广阔,国产 OSAT 龙头加速龙头加速布局布局 中国先进封装市场保持高增速,整体规模快速扩容,渗透率空间仍大。中国先进封装市场保持高增速,整体规模快速扩容,渗透率空间仍大。据锐观产业研究院数据,2024 年,中国先进封装市场规模达 698 亿元,较 2020 年 351 亿元接近翻番,年复合增长率达 18.7%,在全球先进封装市场中占比约 18.7%;2025 年有望进一步提升至852 亿元,同比增长 22%。尽管中国先进封装产业整体体量已位居全球前列,但据锐观产业研究院数据,2024 年先进封装渗透率仅为 40%,显著低于全球平均水平的 55%,渗透率有望持续提升,为行业打开长期成长空间。图表图表 30 2020-2025E 中国先进封装市场规模(亿元)中国先进封装市场规模(亿元)图表图表 31 2020-2025E 全球及中国先进封装渗透率情况全球及中国先进封装渗透率情况 资料来源:锐观产业研究院2025年中国先进封装行业市场前景预测研究报告,华创证券估算*2021-2023年数据为根据CAGR估算绘制 资料来源:锐观产业研究院2025年中国先进封装行业市场前景预测研究报告,Yole,中商产业研究院,华创证券 国产国产 OSAT 龙头持续追赶,四家大陆厂商龙头持续追赶,四家大陆厂商进入全球进入全球 top10 OSAT。当前中国先进封装市场玩家由 OSAT 企业主导,长电科技份额领跑,随后为通富微电、华天科技、智路封测等。根据芯思想 2024 年全球 OSAT 企业排名,四家大陆厂商进入全球前十,合计市占率为 27.8%,且较 23 年有所提升,形成与中国台湾地区四家封测龙头(市占率 34.9%)相抗衡的格局。中国大陆 OSAT 龙头已迈入全球第一梯队,但在高端先进封装产能、关键工艺平台和国际客户结构方面,仍与台系头部及美资巨头存在一定差距。未来随着产能持续扩张和技术平台迭代,本土企业有望进一步提升全球话语权,加速追赶国际先进水平。0100200300400500600700800900202020212022202320242025E0 0P 2020212022202320242025E中国先进封装渗透率全球先进封装渗透率 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 20 图表图表 32 2023-2024 年全球年全球 OSTA 厂商市占率情况厂商市占率情况 资料来源:芯思想研究院,转引自长电科技年报,华创证券(二)(二)产业供需结构错配与政策支持叠加,国产产业供需结构错配与政策支持叠加,国产先进封装产业先进封装产业迎来发展机遇迎来发展机遇 需求牵引平台升级,国产先进封装从需求牵引平台升级,国产先进封装从“跟跑跟跑”向向“并跑并跑”迈进。迈进。早期本地先进封装平台基础相对薄弱,在 2.5D/3D、Chiplet 互联等关键工艺环节技术积累有限,曾一度依赖台积电、三星等海外封装方案,例如,据半导体产业纵横报道,华为曾是台积电首个 CoWoS 客户;三星披露百度的首代昆仑芯片也采用了其 I-Cube 技术进行封装。近年来中国芯片设计产业快速发展,AI 加速器、通用 GPU、车规 SoC 等高性能芯片方向取得显著进展,先进芯片设计需求反向推动本土封测厂商加快平台建设与工艺迭代,封装平台能力正成为国产高端芯片实现产业化的关键支点。国内领先企业加速追赶业内龙头厂商,近年来资本支出显著提升。国内领先企业加速追赶业内龙头厂商,近年来资本支出显著提升。集成电路产业具有技术开发、更新换代快的特点,要封装企业紧随产业链上下游的技术步伐,需投入大量资金用于开发先进的封装技术。国内封装龙头在生产规模和技术水平上不断追赶业内龙头厂商,资本支出有所提升,资本密集度较高。其中 2024 年长电科技、通富微电、华天科技的资本支出分别为 45.91、45.54、50.01 亿元,占营业收入比例分别为 13%、19%、35%。图表图表 33 国内主要封测厂资本支出(亿元)国内主要封测厂资本支出(亿元)图表图表 34 国内主要封测厂资本支出占营收比例国内主要封测厂资本支出占营收比例 资料来源:各公司公告,华创证券 资料来源:各公司公告,华创证券 25.87.09.27%7.90%5.78%3.99%3.67%2.67%1.75%1.65.36%.23.50.41%7.98%5.67%4.72%3.69%2.24%1.75%1.95.85%0.00%5.00.00.00 .00%.000.00%日月光安靠长电科技通富微电力成科技天水华天智路封测京元电南茂科技欣邦科技韩亚微其他2023市占率2024市占率01020304050607080201920202021202220232024长电科技通富微电华天科技甬矽电子颀中科技汇成股份0 00000 1920202021202220232024长电科技通富微电华天科技甬矽电子颀中科技汇成股份为中国大陆企业 为中国台湾地区企业 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 21 海外产能紧张海外产能紧张 供应链自主化诉求升温,为本土平台创造验证窗口。供应链自主化诉求升温,为本土平台创造验证窗口。当前部分先进封装产能趋紧,据 IT 之家援引经济日报报道,尤以台积电称 CoWoS 产能目前严重供不应求,除了自身扩产还需联手日月光、Amkor 等合作伙伴协同增产,力求 2025 年总月产能突破7.5 万片。需求井喷,部分次梯队客户及长尾订单或将出现结构性外溢。叠加地缘摩擦加剧,本土终端客户在先进半导体产业链对国产替代路径敏感度提高,海外客户奉行“China for China”战略,为中国封装企业创造了技术磨炼与信任建立的时间窗口,也成为国产平台切入高端异构封装价值链的关键机会。国家层面持续强化对先进封装平台能力建设的资金与政策支持。国家层面持续强化对先进封装平台能力建设的资金与政策支持。近年来,国家层面持续加大对先进封装产业链的资源支持与政策投入。长电科技、通富微电、华天科技等头部厂商均获得国家集成电路产业投资基金一、二期扶持,推动其在 Fan-out、2.5D/3D 封装、Chiplet 异构集成等平台能力上实现技术突破。2024 年 5 月,国家集成电路产业投资基金三期注册成立,注册资本达 3440 亿元,超过前两期大基金总和,预计会赋能半导体制造、先进封装、设备、材料等关键领域,加快国内先进芯片制造领域突破卡脖子的进程。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 22 四、四、先进封装市场群雄逐鹿,先进封装市场群雄逐鹿,IDM、Fab、OSAT 玩家并存玩家并存 全球先进封装市场集中度高,委外代工模式仍占据全球主要份额。全球先进封装市场集中度高,委外代工模式仍占据全球主要份额。全球封测企业主要分为代工厂 Fab、垂直整合制造商 IDM、独立封测代工厂 OSAT 三类。终端产品多样化推动封测设计趋于复杂,IDM 封测的研发费用升高,而头部 OSAT 厂商由于技术积累及成本优势,目前仍占全球先进封装主要份额。2022 年 IDM 占全球先进封装 22.6%市场份额,Fab 占 12.3%,OSAT 达 65.1%市场份额。仅看 OSAT 市场份额,根据芯思想研究院,2024 年前三大 OSAT 厂商依然把控半壁江山,市占率合计超过 50%。图表图表 35 2022 年全球先进封装厂商市占率情况年全球先进封装厂商市占率情况 图表图表 36 2024 年全球年全球 OSAT 厂商市占率情况厂商市占率情况 资料来源:YoleAdvanced packaging market and technology trend,华创证券 资料来源:芯思想研究院,转引自长电科技年报,华创证券 先进封装马太效应明显,技术领先的龙头厂商有望享受市场红利。先进封装马太效应明显,技术领先的龙头厂商有望享受市场红利。随着封装技术朝小型化和集成化方向发展,先进封装难度不断提升,行业壁垒逐渐提高。高端先进封装技术如 2.5D/3D 集中于部分 OSAT 龙头及台积电、英特尔等提供封装服务的晶圆厂。头部OSAT 厂商不断追赶先进技术,日月光、安靠已掌握 3D 堆叠,国内长电科技、通富微电、华天科技均有开发各自平台覆盖 2.5D/3D。未来,Chiplet 所带动的 2.5D/3D 技术含量快速提升,高利润赋能企业提高研发及资本投入强度,进而形成强者恒强的局面,技术领先的龙头厂商有望享受最大红利。图表图表 37 全球先进封装厂商技术布局全球先进封装厂商技术布局 厂商厂商 Bumping FC WLCSP FOWLP TSV SiP 2.5D 3D 堆叠堆叠 Fab 台积电 InFO CoWoS SoIC IDM 英特尔 EMIB Foveros 三星 I-Cube X-Cube OSAT 日月光 FOCoS 安靠 SWIFT 长电科技 XDFOI XDFOI XDFOI 通富微电 VISionS 研发中 华天科技 eSinc eSinc 资料来源:各公司官网,YoleTechnology&Market Trends for Advanced Packaging,华创证券整理 日月光日月光,25.23%安靠安靠,15.50%长电科技长电科技,11.41%通富微电,7.98%力成科技,5.67%天水华天,4.72%智路封测,3.69%京元电,2.24%韩亚微,1.95%南茂科技,1.75%其他,19.85%半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 23 (一)(一)全球龙头各有所长,台积电加码自研工艺平台领跑全球全球龙头各有所长,台积电加码自研工艺平台领跑全球 1、台积电:台积电:CoWoS InFO SoIC 构建构建 3D Fabric 平台平台 台积电在先进封装领域起步早、投入大,是全球先进封装技术和产能布局的行业领军者。台积电在先进封装领域起步早、投入大,是全球先进封装技术和产能布局的行业领军者。2008 年台积电设立集成互连与封装开发部门(IIPD),2011 年即率先推出 CoWoS 平台,2020 年又正式发布 3DFabric 计算平台,覆盖 SoIC(前端 3D 堆叠)、CoWoS 与 InFO(后端先进封装)等多元技术路线,为同构和异构集成客户提供全方位解决方案。公司凭借持续创新和强大产能,实现与 NVIDIA、AMD、苹果等全球头部芯片设计企业的深度绑定,成为 AI、高端消费电子和先进逻辑芯片的首选代工与封装平台。CoWoS 平台持续迭代,成为平台持续迭代,成为 AI 及高性能计算主流封装方案。及高性能计算主流封装方案。CoWoS(Chip-on-Wafer-on-Substrate)是台积电最具代表性的 2.5D/3D 先进封装平台,其架构可分为两部分:CoW(Chip-on-Wafer)阶段,将芯片堆叠于硅中介层上;WoS(Wafer-on-Substrate)阶段,则将完成堆叠的中介层整体封装到有机基板上。该技术利用硅通孔(TSV)与微凸块实现互连,能够将 GPU、AI 加速器等先进逻辑芯片与 HBM 模块紧密集成,有效缩短数据传输路径并提升吞吐量,为 AI 训练、高性能计算(HPC)等带宽和算力需求极高的场景提供理想解决方案。图表图表 38 CoWoS 关键优势与技术内涵关键优势与技术内涵 维度维度 技术特点技术特点 实现方式实现方式 带来的价值带来的价值 规模化与高集成度 在同一基板上实现多芯片(逻辑SoC 与 HBM)的同构/异构集成 采用硅中介层(Si Interposer) TSV RDL,支持大面积互连与高 I/O 数量 降低芯片间互连延迟,满足 HPC、AI、GPU 等对高带宽、低延迟的需求 增强热管理 提升堆叠芯片的散热能力与温度控制精度 使用高导热硅中介层或有机中介层,并优化封装结构 提高系统可靠性与寿命,减少热节流风险 提升电源完整性 确保高速与存储密集型应用的稳定供电 中介层内布置高密度电源/接地网络(RDL),结合深槽电容器(DTC)降低电源噪声,保持高频运行稳定性 尺寸与成本优化 在更小封装内实现多芯片集成 通过单一中介层 基板整合多颗逻辑 SoC与 HBM,减少 PCB 多芯片互连 封装尺寸更小、材料与制造成本降低,整体成本效益提升 资料来源:anysilicon,华创证券 自 2012 年率先量产 CoWoS 以来,围绕封装尺寸、布线能力及集成密度持续优化,台积电 CoWoS 形成了 CoWoS-S/R/L 3 个版本:(1)CoWoS-S:采用单片硅中介层单片硅中介层 硅通孔硅通孔TSV实现芯片与基板之间的高速电信号传输,但大尺寸单片硅中介层在制造中存在良率瓶颈,限制了进一步放大封装面积的能力。(2)CoWoS-R:以有机中介层有机中介层 细间距重布线层(细间距重布线层(RDL)取代 CoWoS-S 的硅中介层,可在 HBM 与逻辑芯片之间以及芯片与基板之间提供高速互连。RDL 能够缓冲基板与中介层热膨胀系数不匹配引起的应力,提升封装可靠性与良率。(3)CoWoS-L:采用局部硅互连(局部硅互连(LSI) RDL 中介层中介层构成重组中介层,在保留硅通孔(TSV)高速互连优势的同时,减少大面积硅中介层带来的良率问题。此外,CoWoS-L 支持在逻辑芯片下方集成额外元件的能力,例如独立的 IPD(集成无源器件),使其具有更好 SI/PI 性能。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 24 图表图表 39 台积电台积电 CoWoS-S/R/L 技术结构技术结构 资料来源:台积电官网,华创证券 CoWoS 经历经历 6 代迭代,演进方向围绕扩大中介层尺寸与提升可集成代迭代,演进方向围绕扩大中介层尺寸与提升可集成 HBM 容量。容量。2011年,第一代 CoWoS 采用最大尺寸约 775mm(28mm28mm)的硅中介层,最多可搭载一颗 HBM。第三代 CoWoS 实现了 GPU 逻辑芯片与 HBM 的首次组合封装,并在后续版本中不断增加可集成的 HBM 数量,同时持续升级 HBM 规格。发展至 2023 年的第六代,硅中介层尺寸已扩展至 3400mm(58mm 58mm),可在单封装内搭载多达 12 颗 HBM。然而,中介层面积的扩大也带来了良率挑战:晶圆边缘的中介层更易出现缺陷,从而减少了单片 12 英寸晶圆可切割出的合格中介层数量。图表图表 40 CoWoS 6 次迭代围绕扩大中介层尺寸与提升可集成次迭代围绕扩大中介层尺寸与提升可集成 HBM 容量容量 资料来源:Tadashi Kamewada下一代半导体封装和封装技术趋势和市场展望,转引自芯世相,华创证券 InFO 平台聚焦平台聚焦 Fan-Out 市场,推动移动与高性能终端结构创新。市场,推动移动与高性能终端结构创新。InFO(Integrated Fan-out)是台积电于 2016 年量产的一种晶圆级系统集成技术,属于 FOWLP(Fan-Out Wafer Level Packaging)先进封装范畴,通过高密度 RDL 与 InFO 通孔(TIV)实现无需有机封装基板的高密度互连。InFO-PoP(集成式扇出型堆叠封装)(集成式扇出型堆叠封装)是业界首款 3D 晶圆级扇出封装方案。通过高密度 RDL 与 TIV,将移动应用处理器与 DRAM 直接堆叠集成,省去有机基板与 C4凸块,使封装更薄、信号路径更短,并具备优异的电气与热性能,尤其适合对空间和能效要求极高的智能手机和平板终端。InFO-oS(基板上集成扇出型封装)(基板上集成扇出型封装)面向高密度互连需求,采用先进的 2/2 m 线宽/间距 RDL,可在封装中集成多个高性能逻辑芯片,并支持小至 40m 的混合 I/O 焊盘间距。这一高密度互连能力特别适用于 5G 网络设备与计算密集型任务,为下一 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 25 代网络基础设施和高算力应用提供关键支撑。图表图表 41 台积电集成扇出型(台积电集成扇出型(InFO)晶圆级封装)晶圆级封装 资料来源:台积电官网,华创证券 SoIC 平台实现高密度平台实现高密度 3D Chiplet 堆叠,布局未来系统级集成。堆叠,布局未来系统级集成。SoIC(System on Integrated Chips)是台积电 3DFabric 的前端 3D 堆叠技术,也是业内首个高密度 3D Chiplet 堆叠方案。SoIC 通过混合键合在芯片间构建直接互连界面,支持多层、多尺寸及多功能芯片的垂直集成,大幅提升互连密度并减小封装尺寸。平台包括 CoW(Chip-on-Wafer)与 WoW(Wafer-on-Wafer)两种形态,适配逻辑、存储及异构芯粒的灵活组合,满足未来高算力与高集成系统的演进需求。图表图表 42 台积电台积电 SoIC 可实现高密度芯片间互连可实现高密度芯片间互连 图表图表 43 台积电台积电 SoIC WoW 晶圆堆叠工艺晶圆堆叠工艺 资料来源:台积电官网 资料来源:台积电官网 2、英特尔:、英特尔:布局布局 EMIB 与与 Foveros 两大平台技术两大平台技术 英特尔以英特尔以 EMIB 与与 Foveros 双平台双平台构造构造先进封装体系,夯实异构集成技术护城河。先进封装体系,夯实异构集成技术护城河。公司将先进封装视为其IDM 2.0战略的关键,围绕EMIB与Foveros两大平台,形成覆盖2.5D、3D 及混合架构的多元化工艺体系,旨在实现多芯粒异构集成、功能模块化和系统级灵活扩展。公司战略目标是实现 2030 年封装 1 万亿个晶体管。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 26 图表图表 44 英特尔封装技术路线英特尔封装技术路线 资料来源:知IN,转引自芯语 EMIB 技术以嵌入式硅桥实现高性价比技术以嵌入式硅桥实现高性价比 2.5D 互连,提升良率与集成效率。互连,提升良率与集成效率。EMIB 通过在基板中嵌入小尺寸硅桥,实现多芯片高速互连,相较于传统大尺寸硅中介层方案,具备优势:(1)成本优化成本优化:硅桥面积小,晶圆利用率高,降低材料与制造成本;(2)良率提升良率提升:省略晶圆级封装步骤,减少凸点、模具等复杂工艺的良率损失风险;(3)生产效率生产效率:制造步骤更少、复杂度更低,缩短生产周期;(4)尺寸优化尺寸优化:硅桥嵌入基板,提高面积利用率,使单一封装可集成更多芯片以承载更大工作负载。Foveros 实现主动中介层实现主动中介层 3D 堆叠,支持高带宽、低功耗垂直集成。堆叠,支持高带宽、低功耗垂直集成。Foveros 是英特尔的3D封装平台,通过在主动式中介层上结合TSV实现不同类型芯片的垂直整合,支持逻辑、存储及 I/O 等多功能模块的三维异构集成。Foveros 2.5D 和 3D 采用基于焊料的互连方式,而非基底连接,适用于高速I/O与小型芯片分离设计;Foveros Direct 3D 则通过铜-铜直接键合,实现更高互连带宽与更低功耗,提升系统性能与能效比。EMIB 3.5D 融合融合 2.5D 与与 3D 优势,支撑多模块异构系统集成。优势,支撑多模块异构系统集成。EMIB 3.5D 技术结合EMIB与Foveros平台,在单一封装内实现多个3D堆叠模块的高速互连与协同工作,适配高复杂度、多制程节点、多功能模块的系统设计。在实际应用中,英特尔数据中心 GPU Max 系列 SoC 即采用 EMIB 3.5D 技术,集成超过千亿个晶体管,包含 47个有源模块,跨越 5 个不同制程节点,充分体现了英特尔在大规模异构集成上的技术领先性。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 27 图表图表 45 英特尔英特尔 EMIB 和和 Foveros 先进封装结构先进封装结构 资料来源:英特尔官网 3、三星电子:、三星电子:I-Cube 与与 X-Cube 双平台布局双平台布局 2.5D/3D 异构集成异构集成 推进推进 I-Cube 与与 X-Cube 平台布局,覆盖平台布局,覆盖 2.5D/3D 先进封装技术。先进封装技术。三星电子于 2022 年 12月,在半导体业务部门内成立了先进封装(AVP)业务团队,以加强先进封装技术。三星的先进封装技术主要分为两大类:属于 2.5D 封装的 I-Cube 和属于 3DIC 的 X-Cube:I-Cube 系列系列属于属于 2.5D 异构集成异构集成。通过水平并列布局实现逻辑芯片与 HBM 高带宽存储的高效互连,兼顾散热与扩展性能。I-Cube S 采用硅中介层;I-Cube E 则采用硅嵌入式中介层结构,结合硅桥精细布线和 TSV 结构 RDL 中介层优势,在保障信号完整性的同时,提升良率与大尺寸适配能力。在 I-Cube S 的基础上,H-Cube 平台将ABF(Ajinomoto Build-up Film)和 HDI(高密度互连)基底工艺结合,实现更大尺寸封装与多功能芯片集成。X-Cube 技术属于技术属于 3D 异构集成异构集成。基于 TSV 硅通孔技术实现芯片间的垂直电气互连,提升带宽密度和信号传输效率。X-Cube 平台分为 bump 凸点互连与 Hybrid Bonding混合键合两种工艺路径:前者以传统微凸点实现芯片堆叠;后者以铜-铜混合键合为核心,芯片布局更具灵活性,且三星正在持续推进 4m 超精细的铜混合键合技术的开发。图表图表 46 三星电子三星电子 I-CUBE 及及 X-CUBE 封装结构封装结构 资料来源:三星电子,华创证券 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 28 (二)(二)本土本土 OSAT 封测厂具备优势,不断拓宽先进封装平台布局封测厂具备优势,不断拓宽先进封装平台布局 1、长电科技:国产、长电科技:国产先进封装先进封装技术平台布局完善技术平台布局完善 公司先进封装技术覆盖全面,在晶圆级封装、公司先进封装技术覆盖全面,在晶圆级封装、2.5D/3D 领域具备独特优势。领域具备独特优势。公司拥有行业领先的半导体先进封装技术,包括利用 RDL、TSV、Bumping、硅互联等技术的高集成度的晶圆级 WLP、2.5D/3D、系统级(SiP)封装技术和高性能的 Flip Chip 和引线互联封装。同时,公司正积极开发 3D 封装技术,以满足市场对下一代高密度器件日益增长的需求,实现更高的集成度、模块的功能和更小的尺寸,增强公司技术壁垒。倒装封装:倒装封装:技术布局全面,涵盖 FcBGA、FcCSP、FcLGA、FcPoP、FCOL 产品组合。公司提供丰富的倒装芯片产品组合,从搭载无源元器件的大型单芯片封装,到模块和复杂的先进 3D 封装,包含多种不同的低成本创新选项。系统级封装:系统级封装:公司布局多年,在 SiP 封装的优势体现在双面塑形技术、EMI 电磁屏蔽技术、激光辅助键合(LAB)3 种先进技术。公司 SiP 技术广泛应用于 SSD、CPU、GPU、APU、PMIC、射频等产品。晶圆级封装:晶圆级封装:公司在晶圆级封装技术方面处于行业领先地位,提供的解决方案包括扇入型晶圆级封装(FIWLP)、扇出型晶圆级封装(FOWLP)、集成无源器件(IPD)、硅通孔(TSV)、包封芯片封装(ECP)、射频识别(RFID)。2.5D/3D:公司积极推动传统封装技术的突破,率先在晶圆级封装、倒装芯片互连、硅通孔(TSV)等领域中采用多种创新集成技术,以开发差异化的解决方案,帮助客户在其服务的市场中取得成功。图表图表 47 长电科技先进封装产品矩阵长电科技先进封装产品矩阵 资料来源:长电科技官网,华创证券 2、通富微电:、通富微电:多元化先进封装布局与国际大客户协同发展多元化先进封装布局与国际大客户协同发展 持续强化高端先进封装能力,持续强化高端先进封装能力,深度绑定深度绑定国际核心客户与高景气赛道。国际核心客户与高景气赛道。公司依托在传统QFN、LQFP 等框架类封装及 FCBGA、FCCSP 等基板类封装领域的深厚积累,近年来加快布局 Fan-out、WLCSP、倒装焊(FC)、2.5D/3D 堆叠、Chiplet 等高端先进封装技术,形成涵盖主流与前沿的多元产品结构。作为 AMD 全球最大封测供应商,公司在槟城等基地重点建设 Bumping、EFB 等核心产线,“合资 合作”深化与国际头部客户的协同开发与本土化服务能力,驱动先进封装业务实现规模扩张。凭借与富士通、卡西欧、AMDFCFcBGA,FcCSP,FcLGA,FcPoP,FCOL SiPfcBGA SiP,eWLB SiP晶圆级封装晶圆级封装包封芯片封装(ECP)晶圆级芯片尺寸封装(WLCSP)嵌入型晶圆级BGA封装(eWLB)集成型被动器件(IPD)封装级晶圆级硅互连级2.5D/3DSD,PoP,PiPeWLB,eWLCSP硅桥、硅转接板 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 29 等全球一线客户的技术许可合作及协同开发优势,公司正加速在高性能计算、存储、AI等先进封装核心应用市场实现份额突破。图表图表 48 通富产品在通富产品在 AMD 的导入情况的导入情况 时间时间 产品导入情况产品导入情况 2016 已导入 AMD 新产品 38 个 2017 成功开发 7nm wafer node 技术,导入 WLCSP 产品 2018 导入 AMD 23 个新品项目,具备全球 7 纳米芯片封测能力并实现量产 2019 为 AMD 7 纳米全系列产品提供封测服务,导入 6 个封装、8 个测试新产品 2020 量产超过 20 种 7 纳米制程的 PC、游戏和数据中心产品 2021 在 Chiplet 等领域已展开深度合作,导入 AMD 6 个新产品;支持 5nm 产品导入 2022 共完成 AMD 13 个新产品认证;5nm 产品导入,预计下半年小批量量产;持续 5nm、4nm、3nm 新品研发 2023 已涉及 MI300 封测项目 2024 通富超威槟城成功布局先进封装业务,建设 Bumping、EFB 等生产线 资料来源:与非研究院,华创证券 3、华天科技华天科技:eSinC 技术对标技术对标 CoWoS,发力国产,发力国产 2.5D/3D 先进封装先进封装 重视技术创新和产品结构升级,发力先进封装平台化布局重视技术创新和产品结构升级,发力先进封装平台化布局。公司率先掌握了 SiP、Flip-Chip、TSV、Bumping、Fan-Out、WLP、3D 等多项先进封装工艺,现有封装技术水平及科技研发实力已处于国内同行业领先地位。公司构建先进封装技术平台 HMatrix,覆盖包括 WLP、SLP、eSinC 等技术方向,并在 2.5D/3D 领域布局 RDL 中介层、硅中介层、3D Stack 及铜-铜混合键合等多种关键技术。2024 年,公司完成 2.5D 产线建设和设备调试,FOPLP 技术通过重点客户认证,Chiplet 产品开发也在有序推进。其中,华天科技 eSinC 技术平台对标 CoWoS 相关技术:SiCS:采用硅转接板实现多芯粒互连的 2.5D 先进封装技术,这种结构通常具有高密度的 I/O 互连及优越的电性能,适合高性能计算和大规模集成电路的需求。FoCS:采用机械灵活性更强的 RDL 作为中介层来实现芯片之间的互连,主要用于降低成本并适应不同类型的器件连接需求,具有更大的设计灵活性,能够支持更多的芯片连接。BiCS:采用 LSI 芯片实现高密度的芯片间互连,这些芯片可以具有多种连接架构,并且可以重复用于多个产品,基于模具的中介层较宽的 RDL 层间距,并采用穿透中介层的通孔来实现信号和电力的低损耗高速传输,能够集成额外的元件。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 30 图表图表 49 华天科技先进封装技术平台华天科技先进封装技术平台-HMatrix 资料来源:华天科技,转引自未来半导体公众号 加码产能整合,加码产能整合,20 亿组建先进封测公司亿组建先进封测公司。2025 年 8 月,公司宣布整合华天江苏、华天昆山及华天先进一号基金资源,20 亿元注册资本共同组建南京华天先进封装有限公司,强势进军 2.5D/3D 先进封装赛道。此举有望加速前沿产能释放与技术商业化进程,助力国内 2.5D/3D 先进封装测试技术的进步和产业升级。4、盛合晶微:国产、盛合晶微:国产 WLCSP 封装封装龙头龙头,深耕三维先进封装技术深耕三维先进封装技术 深耕三维集成与中段工艺,夯实大陆先进封装创新高地。深耕三维集成与中段工艺,夯实大陆先进封装创新高地。公司前身为中芯长电,是全球首家采用集成电路前段芯片制造体系和标准,采用独立专业代工模式服务全球客户的中段硅片制造企业。公司创新研发三维多芯片集成封装结构方案和平台技术 SmartPoser,并已建立起覆盖芯粒多芯片集成的全流程制造体系和量产能力。根据盛合晶微官网援引CIC 灼识咨询全球先进封装行业研究报告,2023 年公司 12 英寸 WLCSP 市场份额位居中国大陆首位,并是大陆唯一规模量产硅基 2.5D 芯粒加工的企业,在先进封装中段制造环节具备优势。图表图表 50 盛合晶微盛合晶微 SmartPoserTM 三维多芯片集成加工技术平台三维多芯片集成加工技术平台 资料来源:盛合晶微官网 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 31 重视重视研发投入,推进多项先进封装平台进步。研发投入,推进多项先进封装平台进步。2024 年 5 月,盛合晶微推出 3 倍光罩尺寸的 TSV 硅通孔载板技术,标志其芯片互联技术正式进入亚微米时代,可进一步提升芯粒间互联密度,为未来高性能异构集成奠定基础,在先进封装制高点持续保持先发优势。目前公司已启动科创板上市进程,并于目前公司已启动科创板上市进程,并于 2025 年年 6 月进入辅导验收阶段月进入辅导验收阶段。未来有望在资本支持下进一步强化其在高端先进封装领域的综合技术实力与行业影响力。5、甬矽电子:、甬矽电子:定位中高端封装赛道,推进定位中高端封装赛道,推进 Fan-out 与与 2.5D/3D 布局布局 持续强化中高端先进封装业务布局,一站式能力与新工艺布局驱动成长持续强化中高端先进封装业务布局,一站式能力与新工艺布局驱动成长。公司产品均为QFN、LGA、BGA、FlipChip、Bumping、WLCSP 等中高端先进封装形式,并在系统级封装(SiP)、高密度细间距凸点倒装产品(FC 类产品)、大尺寸/细间距扁平无引脚封装产品(QFN/DFN)、Bumping/WLP 等先进封装领域具有较为突出的工艺优势和技术先进性。公司重点打造的“Bumping CP FC FT”的一站式交付能力不断提升,有效客户群持续扩大,量产规模稳步爬升,贡献了新的营收增长点。24 年,公司通过实施 Bumping 项目已掌握 RDL 及凸点加工能力,并积极布局 Fan-out 及 2.5D/3D 封装工艺,相关产品线均已实现通线,目前正在与部分客户进行产品验证。图表图表 51 甬矽电子封装产品范围甬矽电子封装产品范围 资料来源:甬矽电子招股说明书 6、晶方科技:、晶方科技:晶圆级晶圆级 TSV 持续突破,持续突破,布局布局 CIS 晶圆级封装细分赛道晶圆级封装细分赛道 晶圆级晶圆级 TSV 封装技术的领先者,差异化布局以影像传感芯片为代表的传感器市场。封装技术的领先者,差异化布局以影像传感芯片为代表的传感器市场。公司避开通用封装红海市场,重点布局汽车电子与 AI 端侧等高成长细分领域。顺应汽车智能化趋势,公司自主开发了超薄晶圆级芯片尺寸封装技术、硅通孔封装技术、扇出型封装技术、系统级封装技术及应用于汽车电子产品的封装技术等,并持续优化 TSV-STACK 等高端工艺,拓展 A-CSP 等创新方案,增加量产规模,提升生产效率,进一步巩固在车规CIS 封装领域的技术优势与业务规模。同时,公司积极响应产业升级节奏,实现 AI 眼镜、机器人等新兴应用方向的产品商业化量产,进一步巩固差异化竞争优势和未来成长空间。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 32 五、五、相关标的相关标的(一)(一)长电科技:国内封测龙头,全面布局先进封装加速成长长电科技:国内封测龙头,全面布局先进封装加速成长 公司是全球领先的半导体封测厂商,布局先进封装实现业务稳步扩张。公司是全球领先的半导体封测厂商,布局先进封装实现业务稳步扩张。公司自其前身1972 年成立以来,专注于半导体封装测试行业,目前是中国大陆第一、全球第三大封测厂商。公司内生外延持续进行国际化布局,目前在全球拥有八大集成电路成品生产基地,分别位于上海、江阴、滁州、宿迁、新加坡和韩国,实现主流封测技术全覆盖。公司着力先进封装业务,目前已覆盖 WLP、2.5D/3D、SiP、高性能 Flip Chip 等市场主流封装工艺,并加速从消费类向市场需求快速增长的汽车电子、5G 通信、高性能计算、存储等高附加值市场的战略布局。未来公司持续拓展先进封装业务,有望为营收提供增长动力,规模效应下公司利润弹性有望加速释放。行业周期回暖驱动封测需求复苏,高带宽推动先进封装市场加速增长。行业周期回暖驱动封测需求复苏,高带宽推动先进封装市场加速增长。目前全球下游终端智能手机销售情况已同比回正,上游 IC 设计公司库存调整接近尾声,参考历史周期规律,我们认为半导体行业景气度已开始逐步回暖。集成电路封测属于重资产行业,具有资本密集与人员密集特点,产能利用率提升为盈利关键,景气度上行趋势下公司利润弹性大。封装行业技术持续进步,受益于 HPC、AI 快速发展催生高带宽需求,先进封装市场规模加速增长。竞争格局方面,全球先进封装市场集中度较高,OSAT 模式仍为主导,其中日月光、安靠、长电科技等中国大陆及台湾厂商占据全球主要份额。先进封装市场马太效应明显,行业壁垒随技术发展而逐渐提高,长电科技加速布局 2.5D/3D 高端先进封装技术,未来成长空间广阔。公司国产龙头封测厂商,先进封装卡位优势明显。公司国产龙头封测厂商,先进封装卡位优势明显。公司为全球第三/中国大陆第一的封装大厂,传统封装与先进封装技术覆盖全面,具备明显卡位优势,且紧跟产业技术路线研发最先进技术以强化竞争壁垒。公司与通信、消费等领域全球头部大客户开展合作,目前已覆盖西部数据、高通、海力士、TI 等厂商,客户资源优质。2024 年 9 月 30 日,公司宣布收购晟碟半导体 80%股权已完成交割,本次收购有助于加强与西部数据的战略联系,进一步强化公司在存储领域的 OSAT 龙头厂商地位。(二)(二)通富微电:通富微电:汽车电子业务高速增长汽车电子业务高速增长,与与 AMD 持续深化合作持续深化合作 行业复苏叠加产品结构优化行业复苏叠加产品结构优化带动收入增长带动收入增长,资本开支加码夯实成长动能资本开支加码夯实成长动能。受益于下游需求回暖、先进技术突破与策略优化成效,2025Q1 公司实现营业收入 60.92 亿元,同比增长 15.34%。归母净利润/扣非归母净利润分别为 1.01/1.04 亿元,同比增长 2.94%/10.19%。归母净利润增速相对滞后,主要系折旧相关的税会差异及子公司盈利增长带动所得税费用大幅上升,单季所得税支出达 7920 万元,同比增长 679.96%。忽略所得税扰动看,公司营业利润为 2.06 亿元,同比增长 63.03%,核心盈利能力仍有改善。公司披露规划 2025年资本开支 60 亿元,其中 35 亿元将用于通富超威苏州与槟城基地扩产升级,未来伴随先进制程产能逐步释放及产品结构优化,公司长期成长空间有望进一步打开。AI 驱动先进封装需求爆发,公司掌握优质客户资源,内生外延布局先进技术。驱动先进封装需求爆发,公司掌握优质客户资源,内生外延布局先进技术。AI 算力芯片及存储器需求激增带动先进封装市场高增长,公司作为 AMD 最大封测供应商(占其订单 80% ),持续深化合作,2024 年 AMD 的年度营业额达到创纪录的 258 亿美元,为公司的营收规模提供了有力保障。技术布局方面,公司内生布局 Chiplet、2D 等前沿技术,2024 年玻璃基板(TGV)封装已通过可靠性测试,并通过收购京隆科技 26%股权补强测试能力,技术竞争力进一步巩固。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 33 汽车电子、存储业务高增长,汽车电子、存储业务高增长,中长期成长动能充足。中长期成长动能充足。业务布局方面,公司加速向高端领域转型,1)汽车电子方面,公司全面拓展车载功率器件、MCU 与智能座舱等产品,2024年营收同比 200%;2)存储封测方面,公司深化与原厂战略协同,2024 年营收增速超40%;3)消费电子方面,公司抓住手机产品国产国造机遇,成为重要客户的策略合作伙伴,实现了中高端手机 SOC46%的增长。产能扩张方面,2024 年通富超威苏州/槟城完成Bumping、EFB 等产线建设,南通三期、通富通科等重大项目建设稳步推进,新增施工面积 24.45 万平米,为后续产能扩张奠定坚实基础。(三)(三)晶方科技:晶方科技:汽车汽车 CIS 驱动高增长,持续推进全球化布局驱动高增长,持续推进全球化布局 晶圆级影像传感器封装引领者,晶圆级影像传感器封装引领者,技术优势技术优势下下业绩保持稳健。业绩保持稳健。公司是全球将晶圆级芯片尺寸封装(WLCSP)专注应用在以影像传感器为代表的传感器领域的先行者与引领者。晶圆级芯片尺寸封装技术的核心工艺优势包括晶圆级、硅通孔(TSV)、三维 RDL 等工艺能力,具备晶圆级空腔和晶圆级堆叠封装结构,并能提供微型化、低功耗、高集成、高性能的解决方案。2025 年一季度,公司实现营业收入 2.91 亿元,同比增长 20.74%,实现归母净利润 0.65 亿元,同比增长 32.73%,业绩延续稳健趋势。手机手机/安防需求回暖,汽车安防需求回暖,汽车 CIS 构筑第二增长曲线,积极布局机器人新兴市场。构筑第二增长曲线,积极布局机器人新兴市场。消费领域,据 IDC 统计 2024 年全球智能手机出货量同比增长 6.1%至 12.36 亿部,据群智咨询预计安防 CIS 出货量同比增长 2%至 4.9 亿颗,公司凭借晶圆级封装技术在中低像素市场的优势,承接下游回暖需求,业务企稳回升;汽车电子成为最大亮点,公司建成全球首条 12英寸车规级 TSV 封装量产线,与豪威、思特威等头部客户深度合作。受益于汽车智能化推动的车用 CIS 需求大幅增长,公司在车规级封装领域的技术优势逐步兑现。据Sigmaintell 预测,2020-2029 年汽车 CIS 出货量 CAGR 达 13.46%,公司技术卡位高景气赛道,未来增长空间广阔。此外,公司也在积极布局 AI 眼镜、机器人等新兴市场。持续深化全球化布局,第三代半导体打开成长空间。持续深化全球化布局,第三代半导体打开成长空间。公司持续推进国际化战略:1)光学领域,荷兰 Anteryon 与苏州晶方光电协同,晶圆级光学器件(WLO)工艺不断提升,公司积极推进在汽车智能投射等领域的产品应用;2)功率半导体领域,整合以色列 VisIC的氮化镓技术,布局车用高功率模块,把握新能源汽车电驱系统升级机遇;3)在马来西亚投建生产基地,贴近海外客户需求,增强供应链韧性。2025 年 Q1 公司研发投入 0.33亿元,同比 11.33%,占营业收入比例为 11.42%,技术护城河持续加固。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 34 六、六、风险提示风险提示 外部贸易环境变化风险外部贸易环境变化风险:近年来全球地缘政治与国际贸易环境的不确定性加剧,半导体产业链在设备、原材料、核心零部件等方面的跨国依赖度较高。若主要经济体间的贸易摩擦升级、关税政策调整、出口管制收紧或技术封锁加严,行业可能面临进口设备及原材料采购受限、成本上升、交付周期延长等不利影响。同时,客户在应对国际形势变化时可能调整供应链布局或减少订单,也将对行业生产经营及市场拓展造成不利冲击。下游景气波动风险:下游景气波动风险:先进封装在 AI 高性能计算、汽车电子等下游领域的渗透率持续提升,公司业务规模与盈利能力在较大程度上依赖于相关行业的景气度。若全球宏观经济下行、终端需求放缓导致核心市场需求下降,将直接影响公司订单规模与产能利用率。下游技术路线或产品迭代节奏变化,也可能带来需求结构调整风险,对行业公司业绩形成不利影响。技术门槛与工艺良率风险技术门槛与工艺良率风险:先进封装属于技术密集型行业,对制程精度、材料适配性及生产环境稳定性要求极高。公司在量产过程中需实现微米级甚至纳米级互连精度,并保持高良率,以满足客户对性能与可靠性的严格标准。若在关键工艺环节(如 TSV、RDL、混合键合等)出现技术瓶颈,或新产品导入初期良率爬坡不及预期,将可能导致交付延迟、成本上升及客户订单减少,对行业公司经营业绩造成不利影响。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 35 电子组团队介绍电子组团队介绍 副所长、前沿科技研究中心负责人:耿琛副所长、前沿科技研究中心负责人:耿琛 美国新墨西哥大学计算机硕士。曾任新加坡国立大计算机学院研究员,中投证券、中泰证券研究所电子分析师。2019年带领团队获得新财富电子行业第五名,2016 年新财富电子行业第五名团队核心成员,2017 年加入华创证券研究所。联席首席研究员:岳阳联席首席研究员:岳阳 上海交通大学硕士。2019 年加入华创证券研究所。高级分析师:熊翊宇高级分析师:熊翊宇 复旦大学金融学硕士,3 年买方研究经验,曾任西南证券电子行业研究员,2020 年加入华创证券研究所。研究员:吴鑫研究员:吴鑫 复旦大学资产评估硕士,1 年买方研究经验。2022 年加入华创证券研究所。研究员:高远研究员:高远 西南财经大学硕士。2022 年加入华创证券研究所。研究员:姚德昌研究员:姚德昌 同济大学硕士。2021 年加入华创证券研究所。助理研究员:张文瑶助理研究员:张文瑶 哈尔滨工业大学硕士。2023 年加入华创证券研究所。助理研究员:蔡坤助理研究员:蔡坤 香港浸会大学硕士。2023 年加入华创证券研究所。助理研究员:卢依雯助理研究员:卢依雯 北京大学金融硕士。2024 年加入华创证券研究所。助理研究员:张雅轩助理研究员:张雅轩 美国康奈尔大学硕士。2024 年加入华创证券研究所。研究员:董邦宜研究员:董邦宜 北京交通大学计算机硕士,3 年 AI 算法开发经验,曾任开源证券电子行业研究员。2024 年加入华创证券研究所。半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 36 华华创证券机构销售通讯录创证券机构销售通讯录 地区地区 姓名姓名 职务职务 办公电话办公电话 企业邮箱企业邮箱 北京机构销售部 张昱洁 副总经理、北京机构销售总监 010-63214682 张菲菲 北京机构副总监 010-63214682 张婷 华北机构销售副总监 刘懿 副总监 010-63214682 侯春钰 资深销售经理 010-63214682 顾翎蓝 资深销售经理 010-63214682 蔡依林 资深销售经理 010-66500808 刘颖 资深销售经理 010-66500821 阎星宇 销售经理 车一哲 销售经理 吴昱颖 销售经理 深圳机构销售部 张娟 副总经理、深圳机构销售总监 0755-82828570 张嘉慧 高级销售经理 0755-82756804 王春丽 高级销售经理 0755-82871425 王越 高级销售经理 汪丽燕 销售经理 0755-83715428 温雅迪 销售经理 胡丁琳 销售助理 付雅琦 销售助理 许馨匀 销售助理 上海机构销售部 许彩霞 总经理助理、上海机构销售总监 021-20572536 官逸超 上海机构销售副总监 021-20572555 祁继春 副总监 黄畅 上海机构销售副总监 021-20572257-2552 吴俊 资深销售经理 021-20572506 张佳妮 资深销售经理 021-20572585 郭静怡 高级销售经理 蒋瑜 高级销售经理 021-20572509 吴菲阳 高级销售经理 朱涨雨 高级销售经理 021-20572573 李凯月 高级销售经理 张豫蜀 销售经理 15301633144 张玉恒 销售经理 章依若 销售经理 广州机构销售部 段佳音 广州机构销售总监 0755-82756805 王世韬 销售经理 私募销售组 潘亚琪 总监 021-20572559 汪子阳 副总监 021-20572559 江赛专 副总监 0755-82756805 汪戈 高级销售经理 021-20572559 宋丹玙 销售经理 021-25072549 赵毅 销售经理 半导体先进封装行业深度研究报告半导体先进封装行业深度研究报告 证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 37 华创行业公司投资评级体系华创行业公司投资评级体系 基准指数说明:基准指数说明:A 股市场基准为沪深 300 指数,香港市场基准为恒生指数,美国市场基准为标普 500/纳斯达克指数。公司投资评级说明:公司投资评级说明:强推:预期未来 6 个月内超越基准指数 20%以上;推荐:预期未来 6 个月内超越基准指数 10 %;中性:预期未来 6 个月内相对基准指数变动幅度在-10%之间;回避:预期未来 6 个月内相对基准指数跌幅在 10 %之间。行业投资评级说明:行业投资评级说明:推荐:预期未来 3-6 个月内该行业指数涨幅超过基准指数 5%以上;中性:预期未来 3-6 个月内该行业指数变动幅度相对基准指数-5%5%;回避:预期未来 3-6 个月内该行业指数跌幅超过基准指数 5%以上。分析师声分析师声明明 每位负责撰写本研究报告全部或部分内容的分析师在此作以下声明:分析师在本报告中对所提及的证券或发行人发表的任何建议和观点均准确地反映了其个人对该证券或发行人的看法和判断;分析师对任何其他券商发布的所有可能存在雷同的研究报告不负有任何直接或者间接的可能责任。免责声明免责声明 。本公司不会因接收人收到本报告而视其为客户。本报告所载资料的来源被认为是可靠的,但本公司不保证其准确性或完整性。本报告所载的资料、意见及推测仅反映本公司于发布本报告当日的判断。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。本公司在知晓范围内履行披露义务。报告中的内容和意见仅供参考,并不构成本公司对具体证券买卖的出价或询价。本报告所载信息不构成对所涉及证券的个人投资建议,也未考虑到个别客户特殊的投资目标、财务状况或需求。客户应考虑本报告中的任何意见或建议是否符合其特定状况,自主作出投资决策并自行承担投资风险,任何形式的分享证券投资收益或者分担证券投资损失的书面或口头承诺均为无效。本报告中提及的投资价格和价值以及这些投资带来的预期收入可能会波动。本报告版权仅为本公司所有,本公司对本报告保留一切权利。未经本公司事先书面许可,任何机构和个人不得以任何形式翻版、复制、发表、转发或引用本报告的任何部分。如征得本公司许可进行引用、刊发的,需在允许的范围内使用,并注明出处为“华创证券研究”,且不得对本报告进行任何有悖原意的引用、删节和修改。证券市场是一个风险无时不在的市场,请您务必对盈亏风险有清醒的认识,认真考虑是否进行证券交易。市场有风险,投资需谨慎。华创证券研究所华创证券研究所 北京总部北京总部 广深分部广深分部 上海分部上海分部 地址:北京市西城区锦什坊街 26 号 恒奥中心 C 座 3A 地址:深圳市福田区香梅路 1061 号 中投国际商务中心 A 座 19 楼 地址:上海市浦东新区花园石桥路 33 号 花旗大厦 12 层 邮编:100033 邮编:518034 邮编:200120 传真:010-66500801 传真:0755-82027731 传真:021-20572500 会议室:010-66500900 会议室:0755-82828562 会议室:021-20572522
2025-08-26
37页




5星级
本公司具备证券投资咨询业务资格,请务必阅读最后一页免责声明 证券研究报告 1 PCB 行业专题 AI PCB 技术演进,设备材料发展提速 2025 年 08 月 22 日 CoWoP 或成未来封装路线.
2025-08-25
25页




5星级
证券研究报告证券研究报告本报告仅供华金证券本报告仅供华金证券客户客户中的专业投资者参考请仔细阅读在本报告尾部的重要法律声明中的专业投资者参考请仔细阅读在本报告尾部的重要法律声明走向更高端,国产掩膜版厂.
2025-08-21
52页




5星级
1/312025 年年 8 月月 15 日日行业行业|深度深度|研究报告研究报告行业研究报告慧博智能投研玻璃基板玻璃基板行业深度:市场现状、发展展望、行业深度:市场现状、发展展望、产业链分析及相关企业.
2025-08-18
31页




5星级
半导体半导体 请务必参阅正文后面的信息披露和法律声明 1/33 半导体半导体 2025 年 08 月 14 日 投资评级:投资评级:看好看好(维持维持)行业走势图行业走势图 数据来源:聚源 存储价格回.
2025-08-18
33页




5星级
敬请参阅尾页之免责声明 1 2025 年年 7 月月 30 日日 招银国际环球市场招银国际环球市场|睿智投资睿智投资|行业研究行业研究 联合报告联合报告 互联网-WAIC 大会:聚焦科技创新、普惠、协.
2025-07-30
16页




5星级
华安证券研究所华安证券研究所张志邦张志邦(SAC职业证书号S0010523120004)王王璐璐(SAC职业证书号S0010525040001)2025年7月23日可控核聚变行业专题:可控核聚变行业专.
2025-07-24
22页




5星级
1/24 2025 年年 7 月月 14 日日 行业行业|深度深度|研究报告研究报告 行业研究报告 慧博智能投研 光刻机光刻机行业行业深度:深度:核心技术核心技术、竞争格局竞争格局、国国产替代产替代.
2025-07-15
24页




5星级
行业行业报告报告|行业专题研究行业专题研究 请务必阅读正文之后的信息披露和免责申明 1 半导体半导体 证券证券研究报告研究报告 2025 年年 07 月月 02 日日 投资投资评级评级 行业行业评级.
2025-07-03
42页




5星级
免责声明和披露以及分析师声明是报告的一部分,请务必一起阅读。1 证券研究报告 东京电子东京电子(8035 JP)受受益益中美先进工艺投资机会中美先进工艺投资机会 华泰研究华泰研究 首次覆盖首次覆盖 .
2025-07-02
35页




5星级
证 券 研 究 报证 券 研 究 报 告告证监会审核华创证券投资咨询业务资格批文号:证监许可(2009)1210 号 电子电子 2025 年年 7 月月 1 日日 半导体存储行业深度研究报告 推荐推荐.
2025-07-02
21页




5星级
半导体半导体 请务必参阅正文后面的信息披露和法律声明 1/14 半导体半导体 2025 年 06 月 29 日 投资评级:投资评级:看好看好(维持维持)行业走势图行业走势图 数据来源:聚源 半导体材料.
2025-07-01
14页




5星级
半导体材料系列报告之一:国际形式严峻,国产半导体材料行业如何发展分析师:金凯笛登记编码:S0950524080002联系方式:联系电话:021-61102509五矿证券研究所证券研究报告证券研究报告|.
2025-06-27
34页




5星级
证证券研究券研究报报告告本报告仅供华金证券本报告仅供华金证券客户客户中的专业投资者参考中的专业投资者参考请请仔仔细细阅阅读读在在本本报报告告尾部尾部的的重重要要法法律律声声明明传统工艺升级传统工艺升级.
2025-06-23
100页




5星级
2025全球半导体竞争新战场全球半导体竞争新战场,国产生态国产生态逐步成型逐步成型行业研究系列报告行业研究系列报告深企投产业研究院深企投产业研究院2022025 5 年年 4 4 月月深企投产业研究院.
2025-06-20
74页




5星级
AIAI系列专题报告(三)系列专题报告(三)AIoTAIoT端侧:智能硬件百花齐放,国产端侧:智能硬件百花齐放,国产SoCSoC大有可为大有可为证券研究报告20252025年年6 6月月1919日日徐.
2025-06-20
47页




5星级
;国产替代进度不及预期。中美贸易摩擦加剧;终端需求低于预期:风险提示风险提示 气体、华特气体、金宏气体、正帆科技等。业务的后周期成长机会,建议关注:安集科技、鼎龙股份、江丰电子、雅克科技、广钢Ope.
2025-06-19
28页




5星级
请阅读最后评级说明和重要声明 1/28 行业深度报告|电子 证券研究报告 行业评级 推荐(维持)报告日期 2025 年 06 月 15 日 相关研究相关研究【兴证电子】周报:博通指引 ASIC 加速增.
2025-06-18
28页




5星级
2024车规功率半导体产业分析与非网 资深行业分析师 李坚十多年电子行业分析师从业经历。长期关注电子制造、汽车电子、消费电子、工业电子、晶圆制造等行业。聚焦技术前沿、市场动态、分销供应链等板块。2个人.
2025-06-11
40页




5星级
白皮书深入了解博世的碳化硅(SiC)半导体技术博世是汽车行业领先的半导体供应商之一。并提供基于创新材料碳化硅(SiC)制成的功率半导体,包括裸片和分立器件,以及集成在功率模块和全面电气化及移动出行解决.
2025-06-03
20页




5星级
十五五规划建议全文(25页).pdf
三个皮匠报告:2025银发经济生态:中国与全球实践白皮书(150页).pdf
2025刘润年度演讲PPT:进化的力量.pdf
三个皮匠报告:2025中国情绪消费市场洞察报告(24页).pdf
清华大学:2025年AIGC发展研究报告4.0版(152页).pdf
三个皮匠报告:2025中国AI芯片市场洞察报告(24页).pdf
麦肯锡:2025年人工智能发展态势报告:智能体、创新与转型(英文版)(32页).pdf
三个皮匠报告:2025中国稀土产业市场洞察报告-从资源到战略武器,中美博弈的稀土战场(25页).pdf
毕马威:2025年第四季度中国经济观察报告(82页).pdf
Gartner:2026年十大战略技术趋势报告(28页).pdf